团圆饭演员表 - 百度:设计一个4人参赛的智力竞赛抢答器。

来源:百度文库 编辑:中科新闻网 时间:2024/05/03 03:17:00
设计一个4人参赛的智力竞赛抢答器。
(1)初步要求:四人各用一个按键抢答,显示器显示抢得机会的参赛者号。
(2)基本要求:在初步要求的基础上,增设主持人控制允许键和清除键,指示灯指示开始时刻,当主持人未允许时提前抢答视作违规,用闪烁灯光显示违规参赛者号。
(3)提高题:增设倒计时显示及超时报警(灯光兼音响)功能,预定时间可人为设置(10秒~1分钟)。
请大家给我一个详细得接线图

我靠!~~电路图叫我怎么画啊!~~~ 白会一道学习的题了!~~ 大体上来说是用电磁继电器来把4个不同的电路连接起来!~~使一个开关逼和其他的继电器全不起来。。。。。。